Edge Generation – ST

PLC3000 does not include Rising and Falling Edge functions. However, they can easily be generated from the available memory bits

Generation in ST

Generate a Rising Edge on %I0 is done by considering a memory bit, e.g. %M1, such as

PROGRAM EDGE_R
%M1 := %I0 AND NOT %M0;
%M0 := %I0;
END_PROGRAM

Generate a Falling Edge on %I1 is done by considering a memory bit, e.g. %M3

PROGRAM EDGE_F
%M3 := NOT %I1 AND NOT %M2;
%M2 := NOT %I1;
END_PROGRAM